Diit.cz - Novinky a informace o hardware, software a internetu

Diskuse k Broadcom je zklamán z procesu Intel 18A, testovací wafery nedopadly dobře

Třeba jen Broadcom dělá Zagorku, aby dostal lepší ceny :-p

+1
+2
-1
Je komentář přínosný?

tak jsou to pěkní ptáčci, to je pravda. Ale takhle veřejně?

+1
+2
-1
Je komentář přínosný?

Ako intel si nemôže sťažovať USA armada mu vydupala v nizozemsku všetky EUVL stroje na 9nm len pre intel, samsung aj TSMC utreli hubu + dostal desiatky miliard dotacie od USA
Hlavny problem je vyrobiť dostatočne kvalitne masky na leptanie waferov, a intel zatial zvlada 10nm, tu 7nm s odretimi ušami a ešte sa mu nesnivalo o 6-5-4-3-2 nm a už chce vyrabať masky pre 1,8nm :D Jediny kto je schopny dnes vyrobiť masku na 2nm je Čina ale ta určite po rozputani ekonomickej vojny + pokuse ukradnuť im kus činskeho uzemia (Taiwan) a zbrojeni okolitych statov a huckanie proti Čine ... :D

+1
-10
-1
Je komentář přínosný?

Neblábol.

+1
+6
-1
Je komentář přínosný?

Ve skutečnosti je to tak, že regulérní Čínská republika zbyla akorát na Taiwanu, a dnešní uzemí ČLR je ukradnuté bolševiky.

+1
+15
-1
Je komentář přínosný?

Taiwan nikdy nebyl územím ČLR, ergo není co krást. Jinak EUV stroje nemusí vydupávat žádná armáda US - velkou část patentů, na kterých ASML EUV stroje stojí, získalo ASML s akvizicí SVG a prapůvod části EUV technologií se bude datovat ještě k litografické divizi Perkin-Elmler. S tím, že vláda US si při začlenění SVG do ASML vymínila kontrolu nad danými patenty a technologiemi.

Tedy i kdyby se US nakrásně rozhodly vydupat pro Intel lepší postavení, armáda v tom nemusí vůbec hrát roli - jsou tam historicky čistě technologicko-ekonomické páky.

+1
+10
-1
Je komentář přínosný?

nekde jsem cetl ze kdyz v bellovych? laboratorich s nejakou univerzitou vyvijeli vyrobu cipu pomoci litografie tak se pak rozhodli komu tuhle technologii daji aby ji dal rozvijel a padlo to na asml, ale asml je v podstate jenom "spravce" rozhodujici slovo maj amici

+1
0
-1
Je komentář přínosný?

Ono za tím strojem je hromada patentů a přesné výroby. Jediný, kdo pro ně zase umí vyrobit adekvátní zrcadla s danou povrchovou úpravou, je Carl Zeiss. US mají rozhodující vliv jen v určitých součástech, ale ASML za ně prostě nemá náhradu, takže musí hrát podle jejich pravidel.

+1
+6
-1
Je komentář přínosný?

Intel 18A odpovídá TSMC 5+. Žádných 1,8 nm se nekoná.

+1
-3
-1
Je komentář přínosný?

U TSMC 5 nm+ je vlastne 4 nm. Prve je full-node, druhe half-node.

Podobne to bolo davnejsie pri 28 nm a 20 nm = 28 nm+, ktory sa TSMC vzacne nevydaril (ten 20 nm). A to uz je nejaky cas. Vydareny full node bol az 14 nm.
Z neho bol half-node 12 nm = 14 nm+. Dalsi full node bol 10 nm, dalsi 7 nm. Half node v podobe vylepsenia 7nm+ = 6 nm a uz sme pri 5 nm full node.

A este jedno tajomstvo, ani pri 5 nm TSMC sa nejedna o ziadne nanometre. Vsetko je to len marketingovy nazov.

+1
0
-1
Je komentář přínosný?

Marketingový název, stejně jako u Intelu.

Kdysi to znamenalo tloušťku přechodů v tranzistorech.

+1
-1
-1
Je komentář přínosný?

".. přípravy procesu 18A probíhají přesně podle plánu .."

Pokud ty přípravy budou trvat i jen polovinu doby ladění 10nm procesu, tak můžeme Intel foundry dát ... Sbohem a šáteček.

http://basne.webzdarma.cz/nezval_sbohem_a_satecek.html

+1
+8
-1
Je komentář přínosný?

No pokud to Intel nabídnul Broadcomu a ten řekl, že to není použitelné, tak se míjejí jejich očekávání. Aby to bylo použitelné, musel by jít Intel s cenou dolů. Bo má nízkou výtěžnost. No a tady se ta paralela s 10 nm (nově Intel 7) nabízí. Momentálně, co se dívám intel vyrábí na Intel 7 (10 nm). Ještě nemá funkční ani Intel 5, ani Intel 3 a už se tlačí do 1.8 nm. Jenom slibujou. Ale když to někdo chce použít, zjístí, že je to nepoužitelné. Posledních 10 let jedou z kopce.

+1
+3
-1
Je komentář přínosný?

Intel uváděl výtěžnost toho 18A na 82%.

Na Intel 4 (původně 7nm) vyrábí nějaké serverovské CPU. Xeon 6th gen z atomových jader.

+1
+1
-1
Je komentář přínosný?

Na Cnews některé slabší kusy z modrého tábora s pocuchanými nervy obviňují Diitkaře z černé magie, voodoo a podobně.

Já myslím, že Intel ty fabriky vyčlení do separátní organizace.

+1
+7
-1
Je komentář přínosný?

To by ho musela vyloženě dokopat US gov. V tuhle chvíli jsou pro Intel faby to samé co pro celý Taiwan TSMC => obrovská strategická záruka, že jsou too big to fail a že je nenechají padnout. Plus snadný přístup k dotacím. Kdyby Intel vyčlenil faby, brutálně ohrozí zbytek svých jednotek.

Stejně je to sranda. Za ty roky vybudovali takový moloch, že jediné správné rozhodnutí (restrukturalizace, odtučňovací kúra a odzmrdování) by je mohlo totálně položit.

+1
+13
-1
Je komentář přínosný?

Hmmm... takhle jsem o tom vůbec nepřemejšlel. Ale je docela možný, že pokud vyčlení faby zvlášť, tak je slušná šance, že faby zůstanou a zbytek krachne.

+1
+1
-1
Je komentář přínosný?

Pokud samotný cnews.cz píše o "... vysoce zabezpečených platformách, jako jsou Telegram ..."?

+1
0
-1
Je komentář přínosný?

"Po jejich vyhodnocení dospěla k závěru, že výtěžnost procesu Intel 18A není použitelná pro sériovou výrobu"

Ale Intel sľubuje sériovú výrobu až na 2025 takže fakt že v 2024 je výťažnosť horšia sa dalo čakať. Najmä keď ten čip už otestovali takže výroba mohla začať ešte v 2023.

Inak neoficiálne majú defect density lepšie ako očakávali.

+1
-5
-1
Je komentář přínosný?

'' Inak neoficiálne majú defect density lepšie ako očakávali. ''

jasne, vsechno dopadlo lip nez cekali, ve vsem jsou v predstihu a proto museli vyrobu lunarlake na intel18a zrusit a objednat 3nm linky tsmc.. ((:

+1
+17
-1
Je komentář přínosný?

To je potvrdené alebo len špekulácia? Čo viem ja tak Intel od začiatku tvrdil že to bude vyrábať v TSMC.

A inak to ani technicky nieje možné. Presunúť výrobu je záležitosť na niekľko rokov.

+1
-10
-1
Je komentář přínosný?

„Intel od začiatku tvrdil že to bude vyrábať v TSMC.“

Několik let Intel při každé příležitosti hlásal, že Lunar Lake vyrobí na Intel 18A.

„Perhaps the most intriguing part of Intel's client PC roadmap is its codenamed Lunar Lake CPU that will feature a tile fabbed using Intel 18A“

https://www.tomshardware.com/news/intel-roadmap-meteor-lake-arrow-lake-l...

„Lunar Lake will launch in 2024 and have a tile produced utilizing Intel 18A technology.“

https://www.guru3d.com/story/meteor-lakearrow-lakeand-lunar-lake-cpus-ar...

„This demo is extrmely notable because not only does it mean that Intel has working Lunar Lake silicon already—impressive for parts that aren't expected to launch for more than a year—but also that the company's 18A foundry is up and running, at least in some capacity.“

https://hothardware.com/news/intel-live-lunar-lake-demo

https://b2c-contenthub.com/wp-content/uploads/2022/02/Intel-CPU-roadmap-...

+1
+16
-1
Je komentář přínosný?

Ano, přesunout výrobu jinam znamená navrhnout nový čip.
Je docela možné, že Intel tím sleduje několik věcí - dát si komfort na doladění 18A a vyžrat konkurenci výrobní kapacity.

+1
-1
-1
Je komentář přínosný?

„přesunout výrobu jinam znamená navrhnout nový čip“

Nevím, jak pro Intel, ale AMD už před lety prohlásila, že s aktuální softwarovou výbavou je přepočtení na jiný proces záležitostí hodin. Problém je debugging, verifikace a všechny procesy, které je nutné zopakovat, pokud už jednou byly provedeny pro verzi čipu vyrobenou na jiném procesoru.

+1
+7
-1
Je komentář přínosný?

Tak to opravdu není. To je nesmysl.

+1
0
-1
Je komentář přínosný?

Tohle nejsem schopen posoudit, ale ve vývoji software je to taky tak. A ty čipy jsou dnes tvořené velmi sofistikovaným softwarem, takže to "stačí" přegenerovat plus "pár" úprav.

+1
0
-1
Je komentář přínosný?

A co vím, tak Intel používá dost staré knihovny... Možná to konečně vysvětluje, proč potřebuje jádra s větší plochou. A proč je na tom tak blbě.

+1
0
-1
Je komentář přínosný?

To je opět blábol.
Knihovna je vždy ke konkrétnímu procesu. V podstatě layout nějakých struktur, které mají charakterizované parametry, a návrhová pravidla.
Příklad třeba zde: https://github.com/google/skywater-pdk

+1
0
-1
Je komentář přínosný?

Ale taky měli knihovny jen pro sebe, ke svým procesům, až když začali výrobu nabízet jiným, se ten stav ukázal.

+1
0
-1
Je komentář přínosný?

Nesmysl to je, protože v rámci každého konrétního procesu máš dostupné nějaké struktury, které jsou pro proces charakterizované (několik tranzistorů, SRAM cell, IO cell) které nejsou ani stejně velké (třeba jsou jiné i TSMC N3P a N3). Dál jsou IP které jsou implementovány pro konkrétní proces (serdesy, PLL...). Jsou různá pravidla, jak lze věci umisťovat, propojovat (opět specificky pro konkrétní proces, někdy i pro konkétní FAB).

+1
0
-1
Je komentář přínosný?

pro představu o tom jak dlouho co trvá :

jenom klasický respin ( logické chyby jako "1+1 = 12" ) čipu trvá 2- 3 měsíce, metal-base respin čipu (vnitřní uspořádání logických vrstev - redesign čipu) trvá zhruba 6 měsíců na totožném výrobním procesu....

+1
0
-1
Je komentář přínosný?

Ale to asi zahŕňa aj výrobu vzoriek.

Ale áno už nutnosť "preložiť návrh na inú technológiu" oneskorí vydanie o 3/4 roka, ak sa na neho príde po výrobe vzoriek

+1
0
-1
Je komentář přínosný?

Jenže 2025 je za chvilku. 3 měsíce nejsou moc a tohle jsou lidi, kteří ví, jak dlouho ladění výroby trvá.

+1
+3
-1
Je komentář přínosný?

No jo, tak prosinec 2025 je porad jeste 2025, ze jo... :-)

+1
+3
-1
Je komentář přínosný?

Vono když člověk po předchozích zkušenostech očekává strašlivý věci, mile ho překvapí i drobné zlepšení. Osobné vyzkoušeno.

Jinak já osobně 18A fandím už jen kvůli tomu, že ve foundry businessu je konkurence a především kapacity na state-of-the-art procesech potřeba jako sůl. Ale tak nějak je pro mě nesnadné věřit optimistickým zprávám z Intelu, když se ty zpravidla nenaplnily.

+1
+9
-1
Je komentář přínosný?

ten dement na titulke, čo drží waffer vyrobený procesom Intel 18A to vystihuje úplne presne...

+1
-4
-1
Je komentář přínosný?

Neuražaj možno sa do toho vyzna viac ako ten nalavo od neho, samozrejme tiež si nemyslim že by bol genius :D

+1
-4
-1
Je komentář přínosný?

Zatímco Pat přivedl Intel za léta svého působení až ke ztrátě, Joe Intelu přihrál mld US$ jedním svým podpisem.
https://en.wikipedia.org/wiki/CHIPS_and_Science_Act

+1
0
-1
Je komentář přínosný?

ak by mu nabulíkali, že peniaze pôjdu na ukruinu, tak si podpíše aj eutanáziu!

+1
-2
-1
Je komentář přínosný?

A co si myslet o státech co jak v minulosti(WWII) tak nyní sympatizují s agresorem (kterým byly dokonce v minulosti sami okupováni). Z těch nám geograficky nejbližších mne napadá například Slovensko a Maďarsko.

+1
+2
-1
Je komentář přínosný?

me se nejvic libi ze cina zacina pretahovat zamestnance z tsmc atd, se ten namyslenej zapad a eu budou brzo divit jak rychle ten vlak ujizdi :D

+1
-3
-1
Je komentář přínosný?

Což se sice snaží, ale ve skutečnosti se to moc neděje.
Ono taky kdo by chtěl žít v ČLR. Byl jsi tam někdy? Je to hrozný kocourkov a cvičení lidí (a to obrazně i doslova). Taiwan je proti tomu oáza osobní svobody.

+1
+8
-1
Je komentář přínosný?

Začíná? Nebyl tu o tom článek už před lety? Apropos nedávno jsem našel oslavný dokument na iVysílání z 80. let. Jmenuje se to Čína dneška a nese se zcela v duchu příspěvků místních čínomilců... 40 let pořád stejný kecy. :)

+1
+6
-1
Je komentář přínosný?

14nm vyrobni proces a pote to slo u intelu do prdele, jenom sliby a z jeho lidi sa stali vyzrane svine:

https://diit.cz/sites/default/files/imagecache/highslide_full/intel_rene...

10nm 5 generace nam vyustil v oxidaci medi, jenom debil by si proto myslel ze intel ve velkem a bez problemu rovnou nabehne na vyrobu ve 5, 4, 3 a 2nm procesu, kdyz ma tsmc lidi a tlaci do toho tuny miliard a presto ma chyby, intel s jeho ukazkovou demenci vypousti jenom slane tlachy, presne jako rentable units, vojebací píčovina nz ziskani casu.

+1
+6
-1
Je komentář přínosný?

I se 14 nm měli zpoždění a to bylo už podruhé - prve s 22 nm.

+1
0
-1
Je komentář přínosný?

Ale presto se mu 14nm proces povedl, to mu treba uznat, vzdyt i tsmc melo a ma odklady.

+1
0
-1
Je komentář přínosný?

Defect density na 18A je 0,4 na mc2.
Nic úžasného, ale použitelné to je.

+1
-1
-1
Je komentář přínosný?

to tvrdi intel.. kdyby to bylo pouzitelny nepresouvali by lunarlake z intel18a na tsmc 3nm..

+1
+4
-1
Je komentář přínosný?

Což je samozřejmě nesmysl. Lunar lake tak už musel být navržený.
TSMC na LL aktuálně dělá i I/O controller, což spíš znamená, že Intel nemá na 18A dodělané některé komponenty (hádám, že půjde hlavně o Serdesy, které mají dost specifika a musí být vyladěná na konkrétním procesu). Broadcom může mít problém právě tady, protože nemají čip, který by to nepotřeboval.
Proces na LL ani nemohl být připravený.
18A je celekem dost pokročilý a integruje několik poměrně zásadních vylepšení - i proto ta výtěžnost není tak špatná. TSMC ji neuvádí, ale to produkční N7 se mluvilo o 0.25.

+1
+1
-1
Je komentář přínosný?

TSMC N3P ma chybovost na urovni N5. https://www.anandtech.com/show/21394/tsmc-performanceoptimized-3nm-proce...
N5 malo cca 0.11 pri mass production a casom to kleslo na 0.09. https://www.anandtech.com/show/16028/better-yield-on-5nm-than-7nm-tsmc-u...

Pouzijme kalkulacku na vypocet zisku. http://cloud.mooreelite.com/tools/die-yield-calculator/index.html
Rozmer compute tile u Lunar Lake je 16.53mm x 8.47mm.
Pri chybovosti 0.4 z 300mm wafferu dostanes 239 funkcnych cipov z 408, zisk je 58.63%.
TSMC N3P ma chybovost cca 0.1 takze tam dostanes 355 funkcnych cipov a zisk je 87.1%.

Intel mohol pouzit 20A, ale ten nakoniec nepouzije ani na Arrow Lake.
https://www.tomshardware.com/pc-components/cpus/intel-announces-cancella...

+1
+4
-1
Je komentář přínosný?

Výtěžnost 58% na proces, který není ani v serii a má tolik změn je velmi dobrá.
Nám aktuálně TSMC na jednoduché 130nm dělá 79%, docela bych se divil, kdyby to na menších procesech bylo prakticky lepší.
Navíc záleží, jak se defekt trefí. Třeba v SRAM cache, která zabírá dost velkou část jádra, to nemusí být fatální problém.

+1
+1
-1
Je komentář přínosný?

„Výtěžnost 58% na proces, který není ani v serii“

Na tomto procesu se podle původních roadmap Intelu mělo vyrábět už tři kvartály. Tomu odpovídají i staré zprávy od výrobců notebooků, kterým Intel maloval vydání notebooků s 18A Lunar Lake už někdy na červenec 2024. Právě proto, že výtěžnost je taková, jaká je, byl 18A odložen na rok 2025 a Lunar Lake převeden z Intel 18A na TSMC N3B. Nemůžeme zaměňovat příčinu za následek.

„Navíc záleží, jak se defekt trefí.“

V případě Lunar Lake je to právě dost problém, protože Intel z nějakého důvodu prodává pouze čipy se všemi osmi aktivními x86 jádry, což nároky na výtěžnost poněkud zvyšuje.

+1
+1
-1
Je komentář přínosný?

Když se trefí do cache, tak to neznamená, že je nepoužitelná.

+1
-1
-1
Je komentář přínosný?

Proto píšu o x86 jádrech a ne o cache. Nároky na výtěžnost jsou rozhodně vyšší než u procesorů, které Intel prodávaly i s vypnutými jádry.

+1
0
-1
Je komentář přínosný?

20A a 18A jsou skoro stejné technologie jako 10nm jenom pouze mají High NA, PowerVia a RibbonFET ale v základu je to furt to samé to samé jako s jejich ostatními Intel 7, 4 a 3...

+1
0
-1
Je komentář přínosný?

Ked uz mame fotku Pata s Joeom, tak uz len cakam kedy bude nejaka aj Lisy s Donaldom. ;-)

+1
0
-1
Je komentář přínosný?

Když už, tak Lisa + Kamala ;)

+1
+2
-1
Je komentář přínosný?

Pro psaní komentářů se, prosím, přihlaste nebo registrujte.